Verilog naar VHDL-converter

Verilog naar VHDL Converter
Download nu

Verilog naar VHDL-converter Rangschikking & Samenvatting

Advertentie

  • Rating:
  • Vergunning:
  • GPL
  • Naam uitgever:
  • Kanai Lal Ghosh
  • Besturingssystemen:
  • Windows All
  • Bestandsgrootte:
  • 22.3 MB

Verilog naar VHDL-converter Tags


Verilog naar VHDL-converter Beschrijving

U kunt eenvoudig erachter komen wat Verilog naar VHDL Converter doet door zijn naam te lezen. Het is een kleine toepassing die bedoeld is om u een handige manier te verstrekken om Verilog-code naar de VHDL-programmeertaal te converteren. Zowel Verilog en VHDL zijn hardware Beschrijvingstalen die hun praktisch gebruik vinden in elektronische systemen en circuitmodellering en programmering. Verilog naar VHDL Converter is een HDL-vertaler die nuttig kan zijn voor eventuele elektronica-ontwerpers, waardoor de nauwkeurige vertaling van Verilog-ontwerpen naar VHDL-conforme normen mogelijk is. Sommigen van jullie geven de voorkeur aan handmatige conversie aan het gebruik van een toegewijde tool en je hebt misschien wel een punt daar, aangezien de gegenereerde VHDL niet goed werkt, die extra handmatige rectificaties vereisen die bedoeld zijn om de compatibiliteit van het gegevenstype te garanderen. De applicatie is gebouwd in Java en is in staat om meerdere Verilog-bestanden tegelijk te verwerken. Als de invoerbestanden in willekeurige volgorde zijn, moet u de 'sorteer'-functie gebruiken voorafgaand aan de gang. Er zijn opdrachten voor het maken van uitsluitingslijsten, genereren uitsluitend één entiteit of één component (die overeenkomen met een opgegeven bovenkant of module). Verilog naar VHDL-omzetter kan alleen worden uitgevoerd met behulp van de opdrachtconsole, maar dit zou geen ongemak moeten zijn voor diegenen die met talen werken, zoals Verilog en VHDL, die genoeg ervaren om de eenvoudige syntaxis aan te pakken. Het pakket wordt geleverd met een voorbeeld om aan te tonen hoe de conversie daadwerkelijk wordt uitgevoerd. Een ingang Verilog-bestand doorloopt een voorbewerkingsbewerking (het bijbehorende RTL-bestand wordt lokaal opgeslagen) en vervolgens verloopt de toepassing om het te parseren. Verilog naar VHDL Converter is met name handig voor ontwerpers die werken in een omgeving die beide talen aankan. Als alternatief kan het worden geïntegreerd in toepassingen die alleen compatibel zijn met VHDL. Beoordeeld door Mihaela Citea, laatst bijgewerkt op 4 januari 2014


Verilog naar VHDL-converter Gerelateerde software

Janta

Compileer een deel van uw Java-project met behulp van deze tool. ...

131 258 KB

Downloaden